Skip to content

Commit

Permalink
Regenerate project Makefiles using the new shared Makefile includes
Browse files Browse the repository at this point in the history
This reduces the amount of boilerplate code that is present in these
Makefiles by a lot.

It also makes it possible to update the Makefile rules in future without
having to re-generate all the Makefiles.

Signed-off-by: Lars-Peter Clausen <lars@metafoo.de>
  • Loading branch information
larsclausen authored and Csomi committed Apr 11, 2018
1 parent 5272ed4 commit 377247a
Show file tree
Hide file tree
Showing 90 changed files with 1,128 additions and 6,675 deletions.
93 changes: 15 additions & 78 deletions projects/ad5766_sdz/zed/Makefile
Original file line number Diff line number Diff line change
@@ -1,87 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################

M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad5766_sdz_zed

M_DEPS += ../common/ad5766_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zed/zed_system_constr.xdc
M_DEPS += ../../common/zed/zed_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/axi_ad5766/axi_ad5766.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/axi_i2s_adi/axi_i2s_adi.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/spi_engine/axi_spi_engine/axi_spi_engine.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_execution/spi_engine_execution.xpr
M_DEPS += ../../../library/spi_engine/spi_engine_interconnect/spi_engine_interconnect.xpr
M_DEPS += ../../../library/util_i2c_mixer/util_i2c_mixer.xpr

M_VIVADO := vivado -mode batch -source

M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files



.PHONY: all lib clean clean-all
all: lib ad5766_sdz_zed.sdk/system_top.hdf


clean:
rm -rf $(M_FLIST)


clean-all:clean
$(MAKE) -C ../../../library/axi_ad5766 clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/axi_i2s_adi clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution clean
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect clean
$(MAKE) -C ../../../library/util_i2c_mixer clean


ad5766_sdz_zed.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad5766_sdz_zed_vivado.log 2>&1


lib:
$(MAKE) -C ../../../library/axi_ad5766
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/axi_i2s_adi
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/spi_engine/axi_spi_engine
$(MAKE) -C ../../../library/spi_engine/spi_engine_execution
$(MAKE) -C ../../../library/spi_engine/spi_engine_interconnect
$(MAKE) -C ../../../library/util_i2c_mixer

####################################################################################
####################################################################################
LIB_DEPS += axi_ad5766
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_i2s_adi
LIB_DEPS += axi_spdif_tx
LIB_DEPS += spi_engine/axi_spi_engine
LIB_DEPS += spi_engine/spi_engine_execution
LIB_DEPS += spi_engine/spi_engine_interconnect
LIB_DEPS += util_i2c_mixer

include ../../scripts/project-xilinx.mk
79 changes: 11 additions & 68 deletions projects/ad6676evb/vc707/Makefile
Original file line number Diff line number Diff line change
@@ -1,81 +1,24 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################

M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad6676evb_vc707

M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/vc707/vc707_system_mig.prj
M_DEPS += ../../common/vc707/vc707_system_constr.xdc
M_DEPS += ../../common/vc707/vc707_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr

M_VIVADO := vivado -mode batch -source

M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files



.PHONY: all lib clean clean-all
all: lib ad6676evb_vc707.sdk/system_top.hdf


clean:
rm -rf $(M_FLIST)
LIB_DEPS += axi_ad6676
LIB_DEPS += axi_dmac
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr


clean-all:clean
$(MAKE) -C ../../../library/axi_ad6676 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean


ad6676evb_vc707.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_vc707_vivado.log 2>&1


lib:
$(MAKE) -C ../../../library/axi_ad6676
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack

####################################################################################
####################################################################################
include ../../scripts/project-xilinx.mk
93 changes: 15 additions & 78 deletions projects/ad6676evb/zc706/Makefile
Original file line number Diff line number Diff line change
@@ -1,89 +1,26 @@
####################################################################################
####################################################################################
## Copyright 2011(c) Analog Devices, Inc.
## Copyright 2018(c) Analog Devices, Inc.
## Auto-generated, do not modify!
####################################################################################
####################################################################################

M_DEPS += system_top.v
M_DEPS += system_project.tcl
M_DEPS += system_constr.xdc
M_DEPS += system_bd.tcl
PROJECT_NAME := ad6676evb_zc706

M_DEPS += ../common/ad6676evb_bd.tcl
M_DEPS += ../../scripts/adi_project.tcl
M_DEPS += ../../scripts/adi_env.tcl
M_DEPS += ../../scripts/adi_board.tcl
M_DEPS += ../../common/zc706/zc706_system_constr.xdc
M_DEPS += ../../common/zc706/zc706_system_bd.tcl
M_DEPS += ../../../library/xilinx/common/ad_iobuf.v
M_DEPS += ../../../library/jesd204/scripts/jesd204.tcl
M_DEPS += ../../../library/common/ad_sysref_gen.v
M_DEPS += ../../../library/axi_ad6676/axi_ad6676.xpr
M_DEPS += ../../../library/xilinx/axi_adxcvr/axi_adxcvr.xpr
M_DEPS += ../../../library/axi_clkgen/axi_clkgen.xpr
M_DEPS += ../../../library/axi_dmac/axi_dmac.xpr
M_DEPS += ../../../library/axi_hdmi_tx/axi_hdmi_tx.xpr
M_DEPS += ../../../library/jesd204/axi_jesd204_rx/axi_jesd204_rx.xpr
M_DEPS += ../../../library/axi_spdif_tx/axi_spdif_tx.xpr
M_DEPS += ../../../library/jesd204/jesd204_rx/jesd204_rx.xpr
M_DEPS += ../../../library/xilinx/util_adxcvr/util_adxcvr.xpr
M_DEPS += ../../../library/util_cpack/util_cpack.xpr

M_VIVADO := vivado -mode batch -source

M_FLIST := *.cache
M_FLIST += *.data
M_FLIST += *.xpr
M_FLIST += *.log
M_FLIST += *.jou
M_FLIST += xgui
M_FLIST += *.runs
M_FLIST += *.srcs
M_FLIST += *.sdk
M_FLIST += *.hw
M_FLIST += *.sim
M_FLIST += .Xil
M_FLIST += *.ip_user_files



.PHONY: all lib clean clean-all
all: lib ad6676evb_zc706.sdk/system_top.hdf


clean:
rm -rf $(M_FLIST)


clean-all:clean
$(MAKE) -C ../../../library/axi_ad6676 clean
$(MAKE) -C ../../../library/xilinx/axi_adxcvr clean
$(MAKE) -C ../../../library/axi_clkgen clean
$(MAKE) -C ../../../library/axi_dmac clean
$(MAKE) -C ../../../library/axi_hdmi_tx clean
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx clean
$(MAKE) -C ../../../library/axi_spdif_tx clean
$(MAKE) -C ../../../library/jesd204/jesd204_rx clean
$(MAKE) -C ../../../library/xilinx/util_adxcvr clean
$(MAKE) -C ../../../library/util_cpack clean


ad6676evb_zc706.sdk/system_top.hdf: $(M_DEPS)
-rm -rf $(M_FLIST)
$(M_VIVADO) system_project.tcl >> ad6676evb_zc706_vivado.log 2>&1


lib:
$(MAKE) -C ../../../library/axi_ad6676
$(MAKE) -C ../../../library/xilinx/axi_adxcvr
$(MAKE) -C ../../../library/axi_clkgen
$(MAKE) -C ../../../library/axi_dmac
$(MAKE) -C ../../../library/axi_hdmi_tx
$(MAKE) -C ../../../library/jesd204/axi_jesd204_rx
$(MAKE) -C ../../../library/axi_spdif_tx
$(MAKE) -C ../../../library/jesd204/jesd204_rx
$(MAKE) -C ../../../library/xilinx/util_adxcvr
$(MAKE) -C ../../../library/util_cpack

####################################################################################
####################################################################################
LIB_DEPS += axi_ad6676
LIB_DEPS += axi_clkgen
LIB_DEPS += axi_dmac
LIB_DEPS += axi_hdmi_tx
LIB_DEPS += axi_spdif_tx
LIB_DEPS += jesd204/axi_jesd204_rx
LIB_DEPS += jesd204/jesd204_rx
LIB_DEPS += util_cpack
LIB_DEPS += xilinx/axi_adxcvr
LIB_DEPS += xilinx/util_adxcvr

include ../../scripts/project-xilinx.mk
Loading

0 comments on commit 377247a

Please sign in to comment.