Skip to content

Commit

Permalink
pluto revC: Add second RF channel
Browse files Browse the repository at this point in the history
-add second RF channel (without fir filters)
-use a more generic instantiation of the fir filters
-add util_cpack2 and util_upack2
  • Loading branch information
AndreiGrozav committed Jan 16, 2020
1 parent f9c8ff2 commit db5e21c
Show file tree
Hide file tree
Showing 2 changed files with 77 additions and 27 deletions.
2 changes: 2 additions & 0 deletions projects/pluto/Makefile
Original file line number Diff line number Diff line change
Expand Up @@ -12,5 +12,7 @@ LIB_DEPS += axi_ad9361
LIB_DEPS += axi_dmac
LIB_DEPS += util_fir_dec
LIB_DEPS += util_fir_int
LIB_DEPS += util_pack/util_cpack2
LIB_DEPS += util_pack/util_upack2

include ../scripts/project-xilinx.mk
102 changes: 75 additions & 27 deletions projects/pluto/system_bd.tcl
Original file line number Diff line number Diff line change
@@ -1,4 +1,8 @@
# create board design


source $ad_hdl_dir/projects/common/xilinx/adi_fir_filter_bd.tcl

# default ports

create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 ddr
Expand Down Expand Up @@ -186,20 +190,22 @@ create_bd_port -dir I up_txnrx
ad_ip_instance axi_ad9361 axi_ad9361
ad_ip_parameter axi_ad9361 CONFIG.ID 0
ad_ip_parameter axi_ad9361 CONFIG.CMOS_OR_LVDS_N 1
ad_ip_parameter axi_ad9361 CONFIG.MODE_1R1T 1
ad_ip_parameter axi_ad9361 CONFIG.MODE_1R1T 0
ad_ip_parameter axi_ad9361 CONFIG.ADC_INIT_DELAY 21

ad_ip_instance axi_dmac axi_ad9361_dac_dma
ad_ip_parameter axi_ad9361_dac_dma CONFIG.DMA_TYPE_SRC 0
ad_ip_parameter axi_ad9361_dac_dma CONFIG.DMA_TYPE_DEST 2
ad_ip_parameter axi_ad9361_dac_dma CONFIG.DMA_TYPE_DEST 1
ad_ip_parameter axi_ad9361_dac_dma CONFIG.CYCLIC 1
ad_ip_parameter axi_ad9361_dac_dma CONFIG.AXI_SLICE_SRC 0
ad_ip_parameter axi_ad9361_dac_dma CONFIG.AXI_SLICE_DEST 0
ad_ip_parameter axi_ad9361_dac_dma CONFIG.DMA_2D_TRANSFER 0
ad_ip_parameter axi_ad9361_dac_dma CONFIG.DMA_DATA_WIDTH_DEST 32
ad_ip_parameter axi_ad9361_dac_dma CONFIG.DMA_DATA_WIDTH_DEST 64

ad_ip_instance util_fir_int fir_interpolator
ad_add_interpolation_filter "tx_fir_interpolator" 8 2 1 {61.44} {7.68} \
"$ad_hdl_dir/library/util_fir_int/coefile_int.coe"
ad_ip_instance xlslice interp_slice
ad_ip_instance util_upack2 tx_upack

ad_ip_instance axi_dmac axi_ad9361_adc_dma
ad_ip_parameter axi_ad9361_adc_dma CONFIG.DMA_TYPE_SRC 2
Expand All @@ -209,10 +215,12 @@ ad_ip_parameter axi_ad9361_adc_dma CONFIG.SYNC_TRANSFER_START 0
ad_ip_parameter axi_ad9361_adc_dma CONFIG.AXI_SLICE_SRC 0
ad_ip_parameter axi_ad9361_adc_dma CONFIG.AXI_SLICE_DEST 0
ad_ip_parameter axi_ad9361_adc_dma CONFIG.DMA_2D_TRANSFER 0
ad_ip_parameter axi_ad9361_adc_dma CONFIG.DMA_DATA_WIDTH_SRC 32
ad_ip_parameter axi_ad9361_adc_dma CONFIG.DMA_DATA_WIDTH_SRC 64

ad_ip_instance util_fir_dec fir_decimator
ad_add_decimation_filter "rx_fir_decimator" 8 2 1 {61.44} {61.44} \
"$ad_hdl_dir/library/util_fir_int/coefile_int.coe"
ad_ip_instance xlslice decim_slice
ad_ip_instance util_cpack2 cpack

# connections

Expand All @@ -231,31 +239,71 @@ ad_connect axi_ad9361/tdd_sync GND
ad_connect sys_200m_clk axi_ad9361/delay_clk
ad_connect axi_ad9361/l_clk axi_ad9361/clk

ad_connect axi_ad9361/l_clk fir_decimator/aclk
ad_connect axi_ad9361/adc_data_i0 fir_decimator/channel_0
ad_connect axi_ad9361/adc_data_q0 fir_decimator/channel_1
ad_connect axi_ad9361/adc_valid_i0 fir_decimator/s_axis_data_tvalid
ad_connect axi_ad9361_adc_dma/fifo_wr_din fir_decimator/m_axis_data_tdata
ad_connect axi_ad9361_adc_dma/fifo_wr_en fir_decimator/m_axis_data_tvalid
ad_connect axi_ad9361/l_clk rx_fir_decimator/aclk

ad_connect axi_ad9361/adc_valid_i0 rx_fir_decimator/valid_in_0
ad_connect axi_ad9361/adc_enable_i0 rx_fir_decimator/enable_in_0
ad_connect axi_ad9361/adc_data_i0 rx_fir_decimator/data_in_0
ad_connect axi_ad9361/adc_valid_q0 rx_fir_decimator/valid_in_1
ad_connect axi_ad9361/adc_enable_q0 rx_fir_decimator/enable_in_1
ad_connect axi_ad9361/adc_data_q0 rx_fir_decimator/data_in_1

ad_connect axi_ad9361/l_clk cpack/clk
ad_connect axi_ad9361/rst cpack/reset

ad_connect axi_ad9361/adc_enable_i1 cpack/enable_2
ad_connect axi_ad9361/adc_data_i1 cpack/fifo_wr_data_2
ad_connect axi_ad9361/adc_enable_q1 cpack/enable_3
ad_connect axi_ad9361/adc_data_q1 cpack/fifo_wr_data_3

ad_connect cpack/enable_0 rx_fir_decimator/enable_out_0
ad_connect cpack/enable_1 rx_fir_decimator/enable_out_1
ad_connect cpack/fifo_wr_data_0 rx_fir_decimator/data_out_0
ad_connect cpack/fifo_wr_data_1 rx_fir_decimator/data_out_1
ad_connect rx_fir_decimator/valid_out_0 cpack/fifo_wr_en

ad_connect axi_ad9361_adc_dma/fifo_wr cpack/packed_fifo_wr
ad_connect axi_ad9361/up_adc_gpio_out decim_slice/Din
ad_connect fir_decimator/decimate decim_slice/Dout

ad_connect axi_ad9361/l_clk fir_interpolator/aclk
ad_connect axi_ad9361_dac_dma/fifo_rd_dout fir_interpolator/s_axis_data_tdata
ad_connect axi_ad9361_dac_dma/fifo_rd_valid fir_interpolator/s_axis_data_tvalid
ad_connect axi_ad9361/dac_valid_i0 fir_interpolator/dac_read
ad_connect axi_ad9361_dac_dma/fifo_rd_en fir_interpolator/s_axis_data_tready
ad_connect axi_ad9361/dac_data_i0 fir_interpolator/channel_0
ad_connect axi_ad9361/dac_data_q0 fir_interpolator/channel_1
ad_connect rx_fir_decimator/active decim_slice/Dout

ad_connect axi_ad9361/l_clk tx_fir_interpolator/aclk

ad_connect axi_ad9361/dac_enable_i0 tx_fir_interpolator/dac_enable_0
ad_connect axi_ad9361/dac_valid_i0 tx_fir_interpolator/dac_valid_0
ad_connect axi_ad9361/dac_data_i0 tx_fir_interpolator/data_out_0
ad_connect axi_ad9361/dac_enable_q0 tx_fir_interpolator/dac_enable_1
ad_connect axi_ad9361/dac_valid_q0 tx_fir_interpolator/dac_valid_1
ad_connect axi_ad9361/dac_data_q0 tx_fir_interpolator/data_out_1

ad_connect axi_ad9361/l_clk tx_upack/clk
ad_connect axi_ad9361/rst tx_upack/reset

ad_connect tx_upack/fifo_rd_data_0 tx_fir_interpolator/data_in_0
ad_connect tx_upack/enable_0 tx_fir_interpolator/enable_out_0
ad_connect tx_upack/fifo_rd_data_1 tx_fir_interpolator/data_in_1
ad_connect tx_upack/enable_1 tx_fir_interpolator/enable_out_1

ad_connect axi_ad9361/dac_enable_i1 tx_upack/enable_2
ad_connect axi_ad9361/dac_data_i1 tx_upack/fifo_rd_data_2
ad_connect axi_ad9361/dac_enable_q1 tx_upack/enable_3
ad_connect axi_ad9361/dac_data_q1 tx_upack/fifo_rd_data_3

ad_connect tx_upack/s_axis axi_ad9361_dac_dma/m_axis

ad_ip_instance util_vector_logic logic_or [list \
C_OPERATION {or} \
C_SIZE 1]

ad_connect logic_or/Op1 tx_fir_interpolator/valid_out_0
ad_connect logic_or/Op2 axi_ad9361/dac_valid_i1
ad_connect logic_or/Res tx_upack/fifo_rd_en

ad_connect axi_ad9361/up_dac_gpio_out interp_slice/Din
ad_connect fir_interpolator/interpolate interp_slice/Dout
ad_connect tx_fir_interpolator/active interp_slice/Dout

ad_connect axi_ad9361/l_clk axi_ad9361_adc_dma/fifo_wr_clk
ad_connect axi_ad9361_adc_dma/fifo_wr_overflow axi_ad9361/adc_dovf
ad_connect axi_ad9361/l_clk axi_ad9361_dac_dma/fifo_rd_clk
ad_connect axi_ad9361_dac_dma/fifo_rd_underflow axi_ad9361/dac_dunf
ad_connect axi_ad9361/dac_data_i1 GND
ad_connect axi_ad9361/dac_data_q1 GND
ad_connect axi_ad9361/l_clk axi_ad9361_dac_dma/m_axis_aclk
ad_connect cpack/fifo_wr_overflow axi_ad9361/adc_dovf

# interconnects

Expand Down

0 comments on commit db5e21c

Please sign in to comment.