diff --git a/synapse/handlers/worker_lock.py b/synapse/handlers/worker_lock.py index e99fc8308b5f..133b38688943 100644 --- a/synapse/handlers/worker_lock.py +++ b/synapse/handlers/worker_lock.py @@ -126,7 +126,7 @@ def _wake_deferred(deferred: defer.Deferred) -> None: deferred.callback(None) for lock in locks: - self._clock.call_later(0, _wake_deferred, lock.deferred.callback) + self._clock.call_later(0, _wake_deferred, lock.deferred) @wrap_as_background_process("_cleanup_locks") async def _cleanup_locks(self) -> None: