Skip to content

Commit

Permalink
library: Delete all adi_ip_constraint process call
Browse files Browse the repository at this point in the history
  • Loading branch information
Istvan Csomortani committed Sep 18, 2017
1 parent 0590f14 commit bbaf3a9
Show file tree
Hide file tree
Showing 51 changed files with 57 additions and 263 deletions.
6 changes: 0 additions & 6 deletions library/axi_ad6676/axi_ad6676_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -25,12 +25,6 @@ adi_ip_files axi_ad6676 [list \
"axi_ad6676.v" ]

adi_ip_properties axi_ad6676
adi_ip_constraints axi_ad6676 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad6676_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9122/axi_ad9122_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -31,13 +31,6 @@ adi_ip_files axi_ad9122 [list \

adi_ip_properties axi_ad9122

adi_ip_constraints axi_ad9122 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9122_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dac_sync_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
Expand Down
6 changes: 0 additions & 6 deletions library/axi_ad9144/axi_ad9144_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -27,12 +27,6 @@ adi_ip_files axi_ad9144 [list \

adi_ip_properties axi_ad9144

adi_ip_constraints axi_ad9144 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *tx_ready* -of_objects [ipx::current_core]]
Expand Down
6 changes: 0 additions & 6 deletions library/axi_ad9152/axi_ad9152_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -27,12 +27,6 @@ adi_ip_files axi_ad9152 [list \

adi_ip_properties axi_ad9152

adi_ip_constraints axi_ad9152 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *tx_ready* -of_objects [ipx::current_core]]
Expand Down
6 changes: 0 additions & 6 deletions library/axi_ad9162/axi_ad9162_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -27,12 +27,6 @@ adi_ip_files axi_ad9162 [list \

adi_ip_properties axi_ad9162

adi_ip_constraints axi_ad9162 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *tx_ready* -of_objects [ipx::current_core]]
Expand Down
3 changes: 0 additions & 3 deletions library/axi_ad9234/axi_ad9234_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -21,9 +21,6 @@ adi_ip_files axi_ad9234 [list \

adi_ip_properties axi_ad9234

adi_ip_constraints axi_ad9234 [list \
"axi_ad9234_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9250/axi_ad9250_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -27,13 +27,6 @@ adi_ip_files axi_ad9250 [list \

adi_ip_properties axi_ad9250

adi_ip_constraints axi_ad9250 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9250_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9265/axi_ad9265_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -30,13 +30,6 @@ adi_ip_files axi_ad9265 [list \

adi_ip_properties axi_ad9265

adi_ip_constraints axi_ad9265 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9265_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]

Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9361/axi_ad9361_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -50,13 +50,6 @@ adi_ip_files axi_ad9361 [list \

adi_ip_properties axi_ad9361

adi_ip_constraints axi_ad9361 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9361_constr.xdc"]

set_property driver_value 0 [ipx::get_ports *rx_clk_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *rx_frame_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *rx_data_in* -of_objects [ipx::current_core]]
Expand Down
6 changes: 0 additions & 6 deletions library/axi_ad9371/axi_ad9371_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -36,12 +36,6 @@ adi_ip_files axi_ad9371 [list \

adi_ip_properties axi_ad9371

adi_ip_constraints axi_jesd_gt [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dac_sync_in* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9434/axi_ad9434_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -30,13 +30,6 @@ adi_ip_files axi_ad9434 [list \

adi_ip_properties axi_ad9434

adi_ip_constraints axi_ad9434 [list \
"axi_ad9434_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]

ipx::save_core [ipx::current_core]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9467/axi_ad9467_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -29,13 +29,6 @@ adi_ip_files axi_ad9467 [list \

adi_ip_properties axi_ad9467

adi_ip_constraints axi_ad9467 [list \
"axi_ad9467_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9625/axi_ad9625_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -28,13 +28,6 @@ adi_ip_files axi_ad9625 [list \

adi_ip_properties axi_ad9625

adi_ip_constraints axi_ad9625 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9625_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *raddr_in* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9643/axi_ad9643_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -32,13 +32,6 @@ adi_ip_files axi_ad9643 [list \

adi_ip_properties axi_ad9643

adi_ip_constraints axi_ad9643 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9643_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *gpio_in* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9652/axi_ad9652_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -31,13 +31,6 @@ adi_ip_files axi_ad9652 [list \

adi_ip_properties axi_ad9652

adi_ip_constraints axi_ad9652 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9652_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *gpio_in* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9671/axi_ad9671_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -28,13 +28,6 @@ adi_ip_files axi_ad9671 [list \

adi_ip_properties axi_ad9671

adi_ip_constraints axi_ad9671 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9671_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
Expand Down
6 changes: 0 additions & 6 deletions library/axi_ad9680/axi_ad9680_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -26,12 +26,6 @@ adi_ip_files axi_ad9680 [list \

adi_ip_properties axi_ad9680

adi_ip_constraints axi_ad9680 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *rx_valid* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]
Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9684/axi_ad9684_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -31,13 +31,6 @@ adi_ip_files axi_ad9684 [list \

adi_ip_properties axi_ad9684

adi_ip_constraints axi_ad9684 [list \
"axi_ad9684_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

Expand Down
7 changes: 0 additions & 7 deletions library/axi_ad9739a/axi_ad9739a_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -29,13 +29,6 @@ adi_ip_files axi_ad9739a [list \

adi_ip_properties axi_ad9739a

adi_ip_constraints axi_ad9739a [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_ad9739a_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dunf* -of_objects [ipx::current_core]]

Expand Down
5 changes: 0 additions & 5 deletions library/axi_ad9963/axi_ad9963_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -38,11 +38,6 @@ adi_ip_files axi_ad9963 [list \
"axi_ad9963.v" ]

adi_ip_properties axi_ad9963
adi_ip_constraints axi_ad9963 [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

set_property driver_value 0 [ipx::get_ports *dac_sync_in* -of_objects [ipx::current_core]]
set_property driver_value 0 [ipx::get_ports *dovf* -of_objects [ipx::current_core]]
Expand Down
2 changes: 0 additions & 2 deletions library/axi_adc_decimate/axi_adc_decimate_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -15,8 +15,6 @@ adi_ip_files axi_adc_decimate [list \
"axi_adc_decimate.v" ]

adi_ip_properties axi_adc_decimate
adi_ip_constraints axi_adc_decimate [list \
"axi_adc_decimate_constr.xdc" ]

ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]
Expand Down
2 changes: 0 additions & 2 deletions library/axi_adc_trigger/axi_adc_trigger_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -12,8 +12,6 @@ adi_ip_files axi_adc_trigger [list \
"axi_adc_trigger.v" ]

adi_ip_properties axi_adc_trigger
adi_ip_constraints axi_adc_trigger [list \
"axi_adc_trigger_constr.xdc" ]

ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]
Expand Down
3 changes: 0 additions & 3 deletions library/axi_clkgen/axi_clkgen_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -19,9 +19,6 @@ ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]

set_property driver_value 0 [ipx::get_ports *clk2* -of_objects [ipx::current_core]]

adi_ip_constraints axi_clkgen [list \
"axi_clkgen_constr.xdc" ]

ipx::save_core [ipx::current_core]


2 changes: 0 additions & 2 deletions library/axi_dac_interpolate/axi_dac_interpolate_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -15,8 +15,6 @@ adi_ip_files axi_dac_interpolate [list \
"axi_dac_interpolate.v" ]

adi_ip_properties axi_dac_interpolate
adi_ip_constraints axi_dac_interpolate [list \
"axi_dac_interpolate_constr.xdc" ]

ipx::remove_bus_interface {clk} [ipx::current_core]
ipx::associate_bus_interfaces -busif s_axi -clock s_axi_aclk [ipx::current_core]
Expand Down
35 changes: 16 additions & 19 deletions library/axi_gpreg/axi_gpreg_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -15,42 +15,39 @@ adi_ip_files axi_gpreg [list \

adi_ip_properties axi_gpreg

adi_ip_constraints axi_gpreg [list \
"axi_gpreg_constr.xdc" ]

set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 0} \
[ipx::get_ports up_gp_*_0 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_0 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 1} \
[ipx::get_ports up_gp_*_1 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_1 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 2} \
[ipx::get_ports up_gp_*_2 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_2 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 3} \
[ipx::get_ports up_gp_*_3 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_3 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 4} \
[ipx::get_ports up_gp_*_4 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_4 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 5} \
[ipx::get_ports up_gp_*_5 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_5 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 6} \
[ipx::get_ports up_gp_*_6 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_6 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_IO')) > 7} \
[ipx::get_ports up_gp_*_7 -of_objects [ipx::current_core]]
[ipx::get_ports up_gp_*_7 -of_objects [ipx::current_core]]

set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 0} \
[ipx::get_ports d_clk_0 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_0 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 1} \
[ipx::get_ports d_clk_1 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_1 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 2} \
[ipx::get_ports d_clk_2 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_2 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 3} \
[ipx::get_ports d_clk_3 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_3 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 4} \
[ipx::get_ports d_clk_4 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_4 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 5} \
[ipx::get_ports d_clk_5 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_5 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 6} \
[ipx::get_ports d_clk_6 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_6 -of_objects [ipx::current_core]]
set_property enablement_dependency {spirit:decode(id('MODELPARAM_VALUE.NUM_OF_CLK_MONS')) > 7} \
[ipx::get_ports d_clk_7 -of_objects [ipx::current_core]]
[ipx::get_ports d_clk_7 -of_objects [ipx::current_core]]

set_property driver_value 0 [ipx::get_ports -filter "direction==in" -of_objects [ipx::current_core]]

Expand Down
6 changes: 0 additions & 6 deletions library/axi_hdmi_rx/axi_hdmi_rx_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -27,12 +27,6 @@ adi_ip_files axi_hdmi_rx [list \
"axi_hdmi_rx_core.v" ]

adi_ip_properties axi_hdmi_rx
adi_ip_constraints axi_hdmi_rx [list \
"axi_hdmi_rx_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" ]

ipx::save_core [ipx::current_core]

7 changes: 0 additions & 7 deletions library/axi_hdmi_tx/axi_hdmi_tx_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -29,13 +29,6 @@ adi_ip_files axi_hdmi_tx [list \

adi_ip_properties axi_hdmi_tx

adi_ip_constraints axi_hdmi_tx [list \
"$ad_hdl_dir/library/xilinx/common/up_xfer_cntrl_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/ad_rst_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_xfer_status_constr.xdc" \
"$ad_hdl_dir/library/xilinx/common/up_clock_mon_constr.xdc" \
"axi_hdmi_tx_constr.xdc"]

ipx::remove_bus_interface hdmi_clk [ipx::current_core]
ipx::remove_bus_interface hdmi_out_clk [ipx::current_core]
ipx::remove_bus_interface vdma_clk [ipx::current_core]
Expand Down
1 change: 0 additions & 1 deletion library/axi_i2s_adi/axi_i2s_adi_ip.tcl
Original file line number Diff line number Diff line change
Expand Up @@ -21,7 +21,6 @@ adi_ip_files axi_i2s_adi [list \

adi_ip_properties axi_i2s_adi
adi_ip_infer_streaming_interfaces axi_i2s_adi
adi_ip_constraints axi_spdif_tx axi_i2s_adi_constr.xdc late

adi_add_bus "DMA_ACK_RX" "slave" \
"xilinx.com:interface:axis_rtl:1.0" \
Expand Down
Loading

0 comments on commit bbaf3a9

Please sign in to comment.