Skip to content

Commit

Permalink
tmp #2
Browse files Browse the repository at this point in the history
  • Loading branch information
artpol84 committed Sep 30, 2017
1 parent 6488d05 commit e3f74c0
Showing 1 changed file with 1 addition and 1 deletion.
2 changes: 1 addition & 1 deletion src/uct/ib/rc/accel/rc_mlx5_common.h
Original file line number Diff line number Diff line change
Expand Up @@ -129,7 +129,7 @@ uct_rc_mlx5_iface_common_poll_rx(uct_rc_mlx5_iface_common_t *mlx5_common_iface,
ucs_assert(uct_ib_mlx5_srq_get_wqe(&mlx5_common_iface->rx.srq,
mlx5_common_iface->rx.srq.mask)->srq.next_wqe_index == 0);

ucs_prefetch(&mlx5_common_iface->rx.desc[(mlx5_common_iface->rx.srq.free_idx + 1) & iface->rx.srq.mask]);
ucs_prefetch(&mlx5_common_iface->rx.desc[(mlx5_common_iface->rx.srq.free_idx + 1) & mlx5_common_iface->rx.srq.mask]);

cqe = uct_ib_mlx5_poll_cq(&rc_iface->super, &mlx5_common_iface->rx.cq);
if (cqe == NULL) {
Expand Down

0 comments on commit e3f74c0

Please sign in to comment.