Skip to content

Commit

Permalink
Removed bad language
Browse files Browse the repository at this point in the history
  • Loading branch information
rbiasini committed Jul 8, 2019
1 parent b031480 commit c97d60b
Show file tree
Hide file tree
Showing 3 changed files with 3 additions and 4 deletions.
2 changes: 1 addition & 1 deletion board/drivers/clock.h
Original file line number Diff line number Diff line change
Expand Up @@ -3,7 +3,7 @@ void clock_init(void) {
RCC->CR |= RCC_CR_HSEON;
while ((RCC->CR & RCC_CR_HSERDY) == 0);

// divide shit
// divide things
RCC->CFGR = RCC_CFGR_HPRE_DIV1 | RCC_CFGR_PPRE2_DIV2 | RCC_CFGR_PPRE1_DIV4;

// 16mhz crystal
Expand Down
3 changes: 1 addition & 2 deletions board/drivers/usb.h
Original file line number Diff line number Diff line change
Expand Up @@ -416,7 +416,7 @@ void USB_WritePacket(const uint8_t *src, uint16_t len, uint32_t ep) {
uint32_t count32b = 0;
count32b = (len + 3U) / 4U;

// bullshit
// TODO: revisit this
USBx_INEP(ep)->DIEPTSIZ = ((numpacket << 19) & USB_OTG_DIEPTSIZ_PKTCNT) |
(len & USB_OTG_DIEPTSIZ_XFRSIZ);
USBx_INEP(ep)->DIEPCTL |= (USB_OTG_DIEPCTL_CNAK | USB_OTG_DIEPCTL_EPENA);
Expand Down Expand Up @@ -734,7 +734,6 @@ void usb_init(void) {
USBx->GAHBCFG = USB_OTG_GAHBCFG_GINT;

// DCTL startup value is 2 on new chip, 0 on old chip
// THIS IS FUCKING BULLSHIT
USBx_DEVICE->DCTL = 0;

// enable the IRQ
Expand Down
2 changes: 1 addition & 1 deletion tests/gmbitbang/test_one.py
Original file line number Diff line number Diff line change
Expand Up @@ -5,7 +5,7 @@
p = Panda()
p.set_safety_mode(Panda.SAFETY_ALLOUTPUT)

# ack any crap on bus
# hack anything on bus
p.set_gmlan(bus=2)
time.sleep(0.1)
while len(p.can_recv()) > 0:
Expand Down

0 comments on commit c97d60b

Please sign in to comment.